Welcome![Sign In][Sign Up]
Location:
Search - fpga led

Search list

[Other resourceLED.VHDL

Description: LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
Platform: | Size: 5583 | Author: 少龙 | Hits:

[Other resourceled

Description: fpga led verilog language code
Platform: | Size: 210251 | Author: vi | Hits:

[Report papersLED显示屏控制技术实现.doc

Description:

关键词:256 级灰度;LED 点阵屏;FPGA;电路设计


Platform: | Size: 196096 | Author: zhouxinjie1 | Hits:

[Books]FPGA设计指导手册PDF版

Description: FPGA设计指导手册PDF版 将如何设计fpga的,考虑了一些FGPA的关键问题可以参考一下 对初学者有帮助的-FPGA design instruction manual PDF version of the design they simply consider the FGPA some of the key issues for reference to help beginners
Platform: | Size: 260096 | Author: 沉沉 | Hits:

[VHDL-FPGA-Verilogfpga-example1

Description: 集中了十几个vhdl经典程序,如lcd,led控制程序和多种接口程序-focus of a dozen VHDL classic procedures, such as LCD, led control procedures and multiple interface program
Platform: | Size: 66560 | Author: 张伟 | Hits:

[VHDL-FPGA-VerilogLED.VHDL

Description: LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
Platform: | Size: 5120 | Author: 少龙 | Hits:

[Embeded-SCM Developkey2led

Description: 跑马灯设计,主要基于altera FPGA平台设计。解压无需密码。-Bomadeng, designed altera FPGA-based design platform. Decompress without passwords.
Platform: | Size: 637952 | Author: 邓丰涛 | Hits:

[VHDL-FPGA-VerilogFPGA_led_test_1c6

Description: 这是1C6实验板的一个实验程序,其用的组件为LED组件。对大家初步掌握FPGA有帮助-1C6 This is an experimental plate experimental procedure, with the components of LED components. Members of the preliminary master FPGA help
Platform: | Size: 97280 | Author: 进老夺 | Hits:

[OtherLED

Description: 用VHDL 语言描述度7段LED数码显示管,其开发均在FPGA中-using VHDL description of 7 degrees LED digital display tubes, which were developed in FPGA
Platform: | Size: 122880 | Author: 侯同 | Hits:

[VHDL-FPGA-Verilogcy7c68013fpga

Description: BulkIn是FPGA向CY7C68013发送数据 BulkOut是FPGA从CY7C68013接收数据,可以用LED显示 -BulkIn is the FPGA to the CY7C68013 is BulkOut send data CY7C68013 receive data from the FPGA, you can use LED display
Platform: | Size: 265216 | Author: | Hits:

[Books256LED

Description: 256级灰度LED点阵屏显示原理及基于FPGA的电路设计-256 gray-scale screen display LED dot-matrix theory and circuit design based on FPGA
Platform: | Size: 133120 | Author: watson | Hits:

[VHDL-FPGA-Verilogvga_box

Description: 一个用verilog语言实现的包含:键盘扫描,led驱动、vga视频输出的例子。功能为用键盘控制一个方块的显示位置。需要有fpga板子支持。-A Verilog language used to achieve include: keyboard scanning, led driver, vga video output examples. Functions using the keyboard to control the display of the location of a box. Needed to support the FPGA board.
Platform: | Size: 2048 | Author: Nee | Hits:

[Embeded Linuxfpga

Description: 基于PXA270-S linux的FPGA实现。 向LED_CONTROL写入n即得到n*0.1S的延时,LED闪烁的快慢程度发生变化。-Based on the PXA270-S linux realize the FPGA. LED_CONTROL write n to get n* 0.1S delay, LED blinking speed of the extent of change.
Platform: | Size: 3072 | Author: 徐亚雪 | Hits:

[VHDL-FPGA-Verilogled

Description: FPGA和VHDL的全过程和源码,有助你对FPGA和VHDL的认识和学习!-FPGA and VHDL source code of the entire process and will help your understanding of FPGA and VHDL and learning!
Platform: | Size: 422912 | Author: 燕山 | Hits:

[VHDL-FPGA-Verilogled

Description: LED显示功能,使用VHDL语言编程,基于FPGA-LED display, the use of VHDL language programming, based on FPGA
Platform: | Size: 1024 | Author: juanjuan | Hits:

[VHDL-FPGA-Verilogled

Description: 51单片机与FPGA led闪烁程序-51 single-chip FPGA led blinking and procedures. . . . . . . . . . . . .
Platform: | Size: 1024 | Author: zdy | Hits:

[Compress-Decompress algrithmsFPGA-LED

Description:
Platform: | Size: 243712 | Author: liushuo | Hits:

[SCMLED

Description: 本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA 公司的 Cyclone II 系列 FPGA 为数字平台,将微处理器、Avalon 总线、LED 点阵扫描控制器、存储器和人机接口控制器等硬件设备集中在一片 FPGA 上,利用片内硬件来实现 LED 点阵的带地址扫描,降低系统总功耗和简化 CPU 编程的同时,提高了系统的精确度、稳定性和抗干扰性能。-This design used the Nios II embedded processor based on SOPC technology. System to ALTERA' s Cyclone II series FPGA for digital platforms, microprocessors, Avalon bus, LED dot matrix scan controller, memory and man-machine interface controller and other hardware devices focused on an FPGA, using on-chip hardware to achieve the LED dot-matrix band address scan, reducing the total system CPU power consumption and simplify programming while increasing the system' s accuracy, stability and anti-jamming performance.
Platform: | Size: 968704 | Author: 叶子 | Hits:

[VHDL-FPGA-VerilogFPGA-LED

Description: 基于FPGA的大屏幕LED点阵显示系统设计-FPGA-based large-screen LED dot matrix display system
Platform: | Size: 286720 | Author: | Hits:

[SCMled

Description: 流水灯fpga(led fpga)
Platform: | Size: 5143552 | Author: lucky421 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 29 »

CodeBus www.codebus.net